Showing posts with label Verilog Coding. Show all posts
Showing posts with label Verilog Coding. Show all posts

April 2, 2024

Mastering Verilog: Part 6- Understanding Conditional Statements.

Till now, in our comprehensive series on mastering Verilog, we have covered essential topics such as modules, operators, data types, assign statements, initial and always blocks. Each topic has provided valuable insights into the fundamental building blocks of Verilog design and implementation. Now, we dive deeper into the world of Verilog by exploring conditional statements, an integral part of designing dynamic and responsive digital systems.

Conditional statements play a crucial role in controlling the flow of logic and making decisions based on specific conditions. These statements allow you to create dynamic behavior in your designs, making them more flexible and powerful. In this blog, we will delve into the details of conditional statements in Verilog, including if-else and case statements, and explore how they can be effectively utilized in your designs.

1. If-Else Statements

The if-else statement in Verilog is used to execute a block of code based on a certain condition. It follows the syntax:

if (condition)
// code to execute if condition is true
else
// code to execute if condition is false

Here’s a breakdown of how if-else statements work:

  1. The condition inside the parentheses is typically a comparison or logical expression that evaluates to either true or false.
  2. If the condition is true, the code inside the first block (after if) is executed.
  3. If the condition is false, the code inside the second block (after else) is executed.

Let’s look at an example to illustrate this:

module IfElseExample (
input wire clk,
input wire enable,
input wire [3:0] data_in,
output wire [3:0] data_out
);

reg [3:0] data_reg;

always @(posedge clk) begin
if (enable) begin
data_reg <= data_in;
end else begin
data_reg <= 4'b0000;
end
end

assign data_out = data_reg;

endmodule

In this example, when enable is true (enable == 1), data_reg is assigned the value of data_in. Otherwise, it is assigned 4'b0000. This demonstrates how if-else statements can be used to conditionally update values based on specific conditions.

2. Case Statements

Verilog also provides the case statement, which allows you to create multi-way branches based on the value of an expression. The syntax for a case statement is as follows:

case (expression)
value1: // code to execute if expression matches value1
value2: // code to execute if expression matches value2

default: // code to execute if no matches are found
endcase

Here’s a detailed explanation of how case statements work:

  1. The expression inside the parentheses is evaluated, and its value is used to determine which block of code to execute.
  2. Each valueX represents a specific value that the expression can match.
  3. If the expression matches valueX, the corresponding block of code is executed.
  4. If no matches are found, the code inside the default block is executed (if default is provided).

Let’s see an example of using a case statement:

module CaseExample (
input wire [1:0] sel,
input wire [3:0] data_in,
output wire [3:0] data_out
);

reg [3:0] data_reg;

always @(*) begin
case (sel)
2'b00: data_reg = data_in + 4;
2'b01: data_reg = data_in — 4;
2'b10: data_reg = data_in * 2;
default: data_reg = data_in;
endcase
end

assign data_out = data_reg;

endmodule

In this example, sel is used to select different operations on data_in based on its value:

When sel is 2'b00, data_reg is set to data_in + 4.
When sel is 2'b01, data_reg is set to data_in — 4.
When sel is 2'b10, data_reg is set to data_in * 2.
If none of the above cases match, data_reg is set to data_in.

Conditional statements such as if-else and case are powerful tools in Verilog that allow you to create dynamic and flexible logic in your designs. By understanding how these statements work and practicing their usage, you can enhance the functionality and efficiency of your Verilog code. Experiment with different conditions and scenarios to master the art of using conditional statements effectively in your Verilog designs.

Happy coding and exploring the vast world of Verilog!

Like, Share and Follow me if you like my content.
Thank You.

March 24, 2024

Mastering Verilog: Part 4 — Understanding ‘assign’, ‘always’ and ‘initial’ keywords.

 In our journey through Verilog, we’ve delved into various aspects like operators, modules, and data types. Now, let’s explore three fundamental keywords in Verilog that play crucial roles in describing behavior, initializing values, and creating continuous assignments: assign, always, and initial.

1. assign: Continuous Assignments

  • The assign keyword in Verilog is used to create continuous assignments. These assignments describe combinational logic, where the output value is continuously updated based on the input values without any notion of time or sequence.
  • Unlike procedural constructs like always and initial blocks, continuous assignments operate without a sensitivity list, specifically catering to the needs of combinational logic rather than sequential logic.
  • Here’s how the assign keyword works:

Syntax:

assign <output_variable> = <expression>;

  1. <output_variable>: The output or net that the assignment drives.
  2. <expression>: The logic expression or value assigned to the output.
  • Here’s a simple example to illustrate:

module ContinuousAssignmentExample(
input wire a,
input wire b,
output wire y
);
assign y = a & b; // Continuous assignment: y is the AND of a and b
endmodule

  • In this example, y is assigned the result of the AND operation between inputs a and b continuously. Any change in a or b will immediately reflect in y.

Example:

module CombinationalLogicExamples(
input wire a, b, enable, sel,
input wire [3:0] inputs,
input wire data_in,
output reg y1, y2, y3, y4, y5, y6, y7, y8,
);

// Example 1: AND gate using assign
assign y1 = a & b;

// Example 2: Multiplexer using assign
assign y2 = (sel) ? b : a;

// Example 3: Bitwise XOR using assign
assign y3 = a ^ b;

// Example 4: Bitwise NOT using assign
assign y4 = ~a;

// Example 5: Conditional assignment using assign
assign y5 = (enable) ? data_in : 0;

// Example 6: OR gate using assign
assign y6 = a | b;

// Example 7: NAND gate using assign
assign y7 = ~(a & b);

// Example 8: Tri-state buffer using assign
assign y8 = (enable) ? data_in : 1'bz;

endmodule

This module CombinationalLogicExamples includes examples of various combinational logic circuits implemented using the assign keyword in Verilog. It showcases AND gates, multiplexers, bitwise operations, conditional assignments, logic gates, and a tri-state buffer, all driven by continuous assignments.

2. always: Procedural Continuous Behavior

  • In Verilog, an always block is used to describe behavior that is sensitive to certain events or conditions.
  • It is commonly used for modeling sequential logic elements such as flip-flops, registers, state machines, and other sequential circuits.
  • These blocks describe behavior that occurs continuously in a simulation.
  • There are different types of always blocks based on sensitivity lists:
  1. always @(*): This is used for combinational logic, where the block is executed whenever any of its inputs change.
  2. always @(posedge clk): This is used for sequential logic triggered by the positive edge of a clock signal.
  • Here’s an example of how an always block is used in Verilog:

module SequentialLogicExample(
input wire clk, reset,
input wire [3:0] data_in,
output reg [3:0] data_out
);

// Register declaration
reg [3:0] reg_data;

// Sequential logic using always block
always @(posedge clk or posedge reset) begin
if (reset) begin
// Reset condition: initialize register to 0
reg_data <= 4'b0000;
end else begin
// Positive edge of clock: update register with input data
reg_data <= data_in;
end
end

// Output assignment
assign data_out = reg_data;

endmodule

Explanation:

  • The always block is triggered by the positive edge of the clk signal or the positive edge of the reset signal.
  • Inside the always block, there is an if-else statement that handles two conditions:
    1. When reset is high, the register reg_data is initialized to 4'b0000.
    2. When the positive edge of clk occurs (and reset is not high), the register reg_data is updated with the input data_in.
  • The assign statement outside the always block assigns the value of reg_data to the output data_out.

This example demonstrates how an always block is used to model sequential logic in Verilog. The sensitivity list (posedge clk or posedge reset) specifies the events that trigger the execution of the block.

3. initial: Initializing Values

  • In Verilog, an initial block is used to initialize variables, registers, or memory elements at the start of simulation. It executes only once when the simulation begins or when the module is instantiated.
  • It is particularly useful for setting up initial conditions before simulation begins.
  • Here’s an example showcasing the initial block:

module InitialExample(
input wire clk,
output reg q
);
reg [3:0] counter; // Declare a register for counting

initial
begin
counter = 4'b0000; // Initialize counter to 0 at simulation start
end

always @(posedge clk)
begin
if (counter == 4'b1010)
counter <= 4'b0000; // Reset counter at specific value
else
counter <= counter + 1; // Increment counter otherwise
end

always @(posedge clk)
q <= counter[2]; // Output the third bit of the counter
endmodule

Explanation:

  • The module InitialExample has an input clock clk and an output q representing the third bit of a counter.
  • Inside the module, a reg type variable counter of size 4 bits is declared to count from 0 to 9. The initial block is used to initialize counter to 4'b0000 (0) at the start of simulation.
  • The first always block triggers on the positive edge of the clock clk. It checks if counter reaches the value 4'b1010 (10 in decimal) and resets counter to 4'b0000 if so. Otherwise, it increments counter by 1.
    The second always block also triggers on the positive edge of clk and assigns the value of the third bit (counter[2]) of the counter to the output q.

This example demonstrates the use of the initial block for setting initial values and always blocks for sequential logic and output generation in Verilog.

Conclusion:

In this journey through Verilog, we’ve explored essential keywords that form the backbone of behavioral modeling and initialization in Verilog HDL. The assign keyword enables continuous assignments, perfect for describing combinational logic without time dependencies. On the other hand, the always block embodies procedural continuous behavior, making it ideal for modeling sequential logic elements such as flip-flops and registers. Lastly, the initial block serves as the foundation for initializing variables, registers, or memory elements at the simulation’s outset, ensuring the system starts with the desired initial conditions.

Understanding these keywords not only enhances our Verilog coding skills but also equips us to design and simulate complex digital systems effectively. Whether it’s creating continuous logic, modeling sequential circuits, or setting up initial states, mastering these Verilog keywords is essential for FPGA design and digital circuit implementation.

Happy coding and exploring the vast world of Verilog!

Like, Share and Follow me if you like my content.
Thank You.

Explore Our Topics!

Check out the extensive list of topics we discuss:  Communication Protocols: -  USB   - RS232   -  Ethernet   -  AMBA Protocol: APB, AHB and...