September 22, 2023

VHDL - Xnor Gate

 xnor_gate.vhd

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity xnor_gate is
Port (
a: in std_logic;
b: in std_logic;
c: out std_logic);
end xnor_gate;

architecture Behavioral of xnor_gate is
begin
c<= a xnor b;
end Behavioral;

tb_xnor_gate.vhd

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity tb_xnor_gate is
end tb_xnor_gate;

architecture Behavioral of tb_xnor_gate is
component xnor_gate is
Port(a: in std_logic;
b: in std_logic;
c: out std_logic);
end component;
signal tb_a,tb_b,tb_c : std_logic:=’0';

begin
uut : xnor_gate port map(
a=>tb_a, b=>tb_b, c=>tb_c
);

stim_process: process
begin

tb_a<=’0' ; tb_b<=’0'; wait for 100ns;
tb_a<=’0' ; tb_b<=’1'; wait for 100ns;
tb_a<=’1' ; tb_b<=’0'; wait for 100ns;
tb_a<=’1' ; tb_b<=’1'; wait for 100ns;

end process;
end Behavioral;

The output waveform for xnor gate will be as follows:


No comments:

Post a Comment

Explore Our Topics!

Check out the extensive list of topics we discuss:  Communication Protocols: -  USB   - RS232   -  Ethernet   -  AMBA Protocol: APB, AHB and...